怎样用74ls161设计一个24进制的计数器

问题描述:

怎样用74ls161设计一个24进制的计数器

LIBRARY Ieee;USE ieee.std_logic_1164.ALL;USE ieee.std_logic_unsigned.ALL;ENTITY count24 ISPORT(en,clk: IN STD_LOGIC; co : OUT STD_LOGIC; clr_l :IN STD_LOGIC; --清零端...