根据句意写单词 It was ----- of you to leave the window open when you went out .
问题描述:
根据句意写单词 It was ----- of you to leave the window open when you went out .
答
careless
答
careless
意思是:粗心的
相关推荐
- .一、根据句意和句首提示补全所缺单词.1.He p_____ up and down the sidewalk.2.O_____ the wrong thing can be a problem.3.My s_____ is that we go to the cinema.4.He listened to the report without c_____.5.He went home after g_____ from our school.6.The ratter is rater s_____ ,you shouldn't always laugh.7.Can you _____ _____ ( 组成 ) some sentences with these words?二、短语互译 1.信用卡 _______ 2.turn against _______ 3.允许进入,允许参加 ______ 4.ask sb.to leave _____ 三、根据汉语意思完成句子 1.没有人知道将来会发生
- 初二的简单英语!用初二的水品来答.根据句意用括号中所给的单词的适当形式填空,使句子完整、通顺.1、Don't forget to turn off the lights before _______(leave) the room.句型专练.1、北方人的饮食习惯与南方人不同The ________ _______ of the people in the north are ________ _________those of the people in the south.2、听说你没通过考试我感到很遗憾.i'm very _____ _______ _______that you didn't pass the exan .3、当你紧张的时候,出去散步是个不错的主意. It's a good idea _________ ________ _________ _________outside when you are stressed out .按要求完成各题1、what"s t
- 一、根据句意填出单词1、Do you know how_____(improve)your spoken English?2、If you do enough _____(exercise),I‘m sure you’II study it well3、I think English is_____ than Maths and I can learn it well______(easy)二、单项选择( )1、The problem is quite difficult for him.He can't____A、work on it B、work out it C、work it on D、work it out( )2、Could I open the window?__________A、Please don‘t B、No,you can’t C、Yes,Let you D、Yes,you could( )3、The man _____a very long time agoA、worke
- 根据句意,从下列单词中选择合适的,并用其适当形式填空.单词:tradition,decorate,wife,transport,leave,careful,success,marry,character,comfortable1.Remember not to ________ anything behind when you get off the bus.2.—Jack looks very _______.Why?—Because he caught a cold.3.She _______ a rich man last year.4.The emperor had at least eight _______.5.Many people think that easy to cry is the ________ of women.6.We _______ the Christmas tree this morning.7.The Tomb-Sweeping Day is one of
- 根据句意及首字母提示填写单词,使句子完整、通顺.Remember to take your rubbish a( ) when you leave You m() keep quiet when you study in the libraryOut () to Germany is very funny We stayed there for two weeksIt's raining heavily.the ground is so w() Long long ago people..b ( ) wood to keep warm D () last summer holiday,I visited many places of interert in ShanghaiI hope to have an ipone of my o()We are going to have a q() tomorrw.Now we should go over the lessonsWhen Alice we
- 英语 根据句意及汉语提示写单词1.The _______(机长) asked all the passengers to keep calm.He was sure of saving them.2.The knife is made of ___________ (钢).3.--What can I do for you?--I want a _________ (条) of chocolate.4.The weather was bad,so the plane couldn't _________(登陆) at all.5.--The manager ____________(出现) at the meeting yesterday.--Really?When did he come back from America?
- 英语根据句意填单词,用适当形式一,wood twenty-two many we easy 1.This problem is not difficult ,I can work it out _____2.can you tell me who teaches ______English3.Sam lives in a small _______house by the sea4.Today is my daughter"s_______birthday5.Each of us spend ________than 100yuan in the supermarket二,dream,leave,sound,ride,like6.Listen!The piece of music _____ very beautiful!7.Mr Black _____a bike to work every day8.Do you like _______tea or juice?9.My brother of
- 根据句意及首字母提示补全单词Their o_____ about the problem are very good.He p_____ the door and went out.Can you teach me some exam s_____?They had breakfast quickly and c___ to work.
- 根据句意写单词 It was ----- of you to leave the window open when you went out .
- 根据句意及汉语提示写单词,使句子完整1.When I got there ,he had already _________(上)into a car and left.2.You can't go into the room because the door was _______(锁上)3.----Who________(打破)my window?-----I saw some boys playing soccer just now.4.The mother ________(飞奔)to the hospital when she heard her son was badly ill.5.During the Spring Festival ,Chinese people often visit their ________(亲戚 and friends.
- VHDL程序那位帮忙看下我这个程序哪里错了呀,编译的时候,说Error (10517): VHDL type mismatch error at /315jizhi.vhd(9): std_logic_vector type does not match integer literal功能是实现316进制计数程序如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY cnt315 IS PORT(clk , clrn : IN STD_LOGIC; q : out std_logic); END cnt315;ARCHITECTURE one OF cnt315 IS SIGNAL q1 : STD_LOGIC_vector RANGE 0 TO 3; SIGNAL q2 : BIT_vector RANGE
- 下面是VHDL的核心部分,当CE减为一半时,OUT0赋值为0.但这句话一直有问题:if CE=CR/2 then ,signal CR:std_logic_vector(15 downto 0); --计数器寄存器,放置初始值signal CE:std_logic_vector(15 downto 0); --减1计数单元if falling_edge(CLk0) and bz3='1' thenCE