verilog中&符号是什么意思?

问题描述:

verilog中&符号是什么意思?
buffer

&位与,buffer所有位为1的时候=1,否则等于0可以这么写的吗?。。&不是二元运算符吗?。。而且这里还是两个不同位数的与。。&可以是单目运算符,表示位与(信号的每一位相与),放在信号的前面