组合逻辑电路为何会产生竞争-冒险现象 如何判断函数是否会产生这个现象

问题描述:

组合逻辑电路为何会产生竞争-冒险现象 如何判断函数是否会产生这个现象

竞争冒险现象主要是因为组合逻辑中各段信号线和各个门的延迟都不同,因此产生竞争冒险现象
判断的方法还是比较多的,可以看一下文库里的这篇文章