设计一个码检验电路,当输入的四位二进制数A、B、C、D为2421BCD码时,输出Y为1,否则为0.写出设计步骤rt

问题描述:

设计一个码检验电路,当输入的四位二进制数A、B、C、D为2421BCD码时,输出Y为1,否则为0.写出设计步骤
rt

1..写真值表 A B C D Y 0 0 0 0 1 0 0 0 1 1 0 0 1 0 1 0 0 1 1 1 0 1 0 0 1 0 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 0 0 ...